Project

General

Profile

Revision 03d542eb

ID03d542ebcb8c66948246455d8f9df8349dfb8acb
Parent d5e2cf0e
Child 01862853

Added by Thomas ANDREJAK almost 15 years ago

Ajout du buildout.cfg

git-svn-id: https://vigilo-dev.si.c-s.fr/svn@309 b22e2e97-25c9-44ff-b637-2e5ceca36478

View differences:

buildout.cfg
1
[buildout]
2
extends = buildenv/buildout-base.cfg buildenv/distrib/mes-5.cfg
3
parts = turbogears vigiboard
4
#http://www.turbogears.org/2.0/downloads/current/index/
5
#http://pypi.python.org/simple
6
#index = http://vigilo-dev.local/python/eggs/index
7
develop = .
8
eggs = vigiboard
9

  
10
[vigiboard]
11
recipe = zc.recipe.egg
12
eggs = ${buildout:eggs}
13
interpreter = python

Also available in: Unified diff